Recent results on EUV mask blank multilayers and absorbers

2005 
Mask Blanks for EUV Lithography require a lot of new properties and features compared to standard Chrome-on-Glass mask blanks. SCHOTT Lithotec has introduced all relevant technology steps to manufacture EUV mask blanks. Starting from completely new low thermal expansion substrate materials with significantly improved surface quality over multilayer coatings for EUV reflection up to new absorber layers with improved dry etching and inspection properties. New polishing and cleaning technologies, improved sputter technology and updated metrology enable us to routinely produce EUVL mask blanks meeting already many of the ITRS roadmap requirements. Further R&D is ongoing to path the way to the production of EUV mask blanks which meet all requirements An important focus of this report is to present recent results on EUVL multilayer properties such as defect density, optical properties like reflectivity and uniformity in the EUV range. In addition a new design of EUVL absorber material will be reported, including optical performance at inspection wavelength, dry etch performance and resistance to cleaning steps. Finally improvements on our metrology methods for EUVL components, such as high throughput EUV-reflectometry will be elucidated.
    • Correction
    • Source
    • Cite
    • Save
    • Machine Reading By IdeaReader
    0
    References
    4
    Citations
    NaN
    KQI
    []