EB projection lithography for 60-80 nm ULSI fabrication

2000 
Electron beam (EB) projection lithography (EPL), such as the EB stepper and the SCALPEL, is expected to be next generation lithography (NGL) for mass-production of sub-0.1 /spl mu/m ULSls. Adopting an EB scattering mask with 1.0/spl times/1.0 mm mask pattern area (4/spl times/) will drastically increase the writing throughput. In addition, a pattern resolution of 80 nm or less can be obtained using a 100 kV acceleration voltage. However, it is important to develop high sensitivity EB resists for achieving the writing throughput of 40 wafers/hour or more (8"/spl phi/) and to optimize the proximity effect correction for improving the CD accuracy of 10 nm or less (3/spl sigma/). In this report, we show the EPL for 60-80 nm ULSI fabrication using improved EB chemically amplified resist process and optimized proximity effect correction accompanied with pattern modification methods.
    • Correction
    • Source
    • Cite
    • Save
    • Machine Reading By IdeaReader
    4
    References
    2
    Citations
    NaN
    KQI
    []