language-icon Old Web
English
Sign In

X-ray lithography

X-ray lithography, is a process used in electronic industry to selectively remove parts of a thin film. It uses X-rays to transfer a geometric pattern from a mask to a light-sensitive chemical photoresist, or simply 'resist,' on the substrate. A series of chemical treatments then engraves the produced pattern into the material underneath the photoresist. X-ray lithography, is a process used in electronic industry to selectively remove parts of a thin film. It uses X-rays to transfer a geometric pattern from a mask to a light-sensitive chemical photoresist, or simply 'resist,' on the substrate. A series of chemical treatments then engraves the produced pattern into the material underneath the photoresist. X-ray lithography originated as a candidate for next-generation lithography for the semiconductor industry, with batches of microprocessors successfully produced. Having short wavelengths (below 1 nm), X-rays overcome the diffraction limits of optical lithography, allowing smaller feature sizes. If the X-ray source isn't collimated, as with a synchrotron radiation, elementary collimating mirrors or diffractive lenses are used in the place of the refractive lenses used in optics. The X-rays illuminate a mask placed in proximity of a resist-coated wafer. The X-rays are broadband, typically from a compact synchrotron radiation source, allowing rapid exposure. Deep X-ray lithography (DXRL) uses yet shorter wavelengths on the order of 0.1 nm and modified procedures such as the LIGA process, to fabricate deep and even three-dimensional structures. The mask consists of an X-ray absorber, typically of gold or compounds of tantalum or tungsten, on a membrane that is transparent to X-rays, typically of silicon carbide or diamond. The pattern on the mask is written by direct-write electron beam lithography onto a resist that is developed by conventional semiconductor processes. The membrane can be stretched for overlay accuracy. Most X-ray lithography demonstrations have been performed by copying with image fidelity (without magnification) on the line of fuzzy contrast as illustrated in the figure. However, with the increasing need for high resolution, X-ray lithography is now performed on what is called the 'sweet spot', using local 'demagnification by bias'. Dense structures are developed by multiple exposures with translation. The advantages of using 3x demagnification include, the mask is more easily fabricated, the mask to wafer gap is increased, and the contrast is higher. The technique is extensible to dense 15 nm prints. X-rays generate secondary electrons as in the cases of extreme ultraviolet lithography and electron beam lithography. While the fine pattern definition is due principally to secondaries from Auger electrons with a short path length, the primary electrons will sensitize the resist over a larger region than the X-ray exposure. While this does not affect the pattern pitch resolution, which is determined by wavelength and gap, the image exposure contrast (max-min)/(max+min) is reduced because the pitch is on the order of the primary photo-electron range. The sidewall roughness and slopes are influenced by these secondary electrons as they can travel few micrometers in the area under the absorber, depending on exposure X-ray energy. Several prints at about 30 nm have been published. Another manifestation of the photoelectron effect is exposure to X-ray generated electrons from thick gold films used for making daughter masks. Simulations suggest that photoelectron generation from the gold substrate may affect dissolution rates. Secondary electrons have energies of 25 eV or less, and can be generated by any ionizing radiation (VUV, EUV, X-rays, ions and other electrons). Auger electrons have energies of hundreds of electronvolts. The secondaries (generated by and outnumbering the Auger and primary photoelectrons) are the main agents for resist exposure. The relative ranges of photoelectron primaries and Auger electrons depend on their respective energies. These energies depend on the energy of incident radiation and on the composition of the resist. There is considerable room for optimum selection (reference 3 of the article). When Auger electrons have lower energies than primary photoelectrons, they have shorter ranges. Both decay to secondaries which interact with chemical bonds. When secondary energies are too low, they fail to break the chemical bonds and cease to affect print resolution. Experiments prove that the combined range is less than 20 nm. On the other hand, the secondaries follow a different trend below ≈30 eV: the lower the energy, the longer the mean free path though they are not then able to affect resist development. As they decay, primary photo-electrons and Auger electrons eventually become physically indistinguishable (as in Fermi–Dirac statistics) from secondary electrons. The range of low-energy secondary electrons is sometimes larger than the range of primary photo-electrons or of Auger electrons. What matters for X-ray lithography is the effective range of electrons that have sufficient energy to make or break chemical bonds in negative or positive resists.

[ "Resist", "Photolithography", "Fabrication", "Lithography", "Multiphoton lithography", "Thermal scanning probe lithography", "Maskless lithography", "Computational lithography", "Multilayer soft lithography" ]
Parent Topic
Child Topic
    No Parent Topic