Tilted ion implantation as a cost-efficient sublithographic patterning technique

2016 
Tilted ion implantation (TII) is experimentally demonstrated to be a promising approach to pattern features smaller than pre-existing mask features on the surface of a wafer substrate. A key to this approach is a substantial change in the etch rate of a thin masking layer by TII. Fifteen degrees-tilted Ar+ implantation into a 10 nm-thick thermally grown silicon dioxide (SiO2) masking layer at a dose of 3 × 1014 cm−2 enhances its etch rate in dilute hydrofluoric acid solution by a factor of approximately 9. The features defined by TII are shown to be self-aligned to the pre-existing mask features and to have critical dimensions that can be adjusted by changing the implant tilt angle (θ) and/or geometrical dimensions of the pre-existing mask features. In this work, trenches of width as small as ∼9 nm and sub-20 nm holes are achieved by TII-enhanced patterning.
    • Correction
    • Source
    • Cite
    • Save
    • Machine Reading By IdeaReader
    9
    References
    8
    Citations
    NaN
    KQI
    []