language-icon Old Web
English
Sign In

Solution for 100 nm: EBM-4000

2002 
Optical lithography will be extended down to 65nm to 50 nm. However, a mask with high accurate CD uniformity and resolution enhancement technology (RET) such as optical proximity effect correction (OPC) and phase shifting mask (PSM) are required to achieve resolution by exposure wave length. The mask technology is the key of the optical lithography extension. We developed the electron beam mask writer EBM-3000 for 180-150nm design rule 1), 2), and EBM-3500 for 150-130nm design rule 3), to achieve high accuracy CD uniformity mask and small OPC pattern writing. They were variable shaped electron beam mask writing system with continuous moving stage, at 50kV acceleration voltage, and had the functions of multi-pass field shift writing, real-time proximity effect correction, grid matching correction, and automatic adjustment for election optical column.The LSI road map calls for such small minimum feature size as that so close to optical resolution limitation where increasingly complex optical proximity corrections (OPC) as well as extremely good mask CD uniformity are required. What is making the challenge even more difficult is that writing time is exponentially increasing as the shot number is exploding to primarily cope with the complex and voluminous OPC and extremely good CD uniformity requirements. Thus the newly developed electron beam mask lithography system EBM-4000 is designed to overcome all these difficult problems associated with 100nm as well as 70nm node masks. In order to increase throughput, triangle/rectangle beam optical column, high current density/high resolution lens, and high speed DAC amplifiers have been developed. To achieve accurate CD uniformity, foggy electron correction/loading effect correction functions are developed.
    • Correction
    • Source
    • Cite
    • Save
    • Machine Reading By IdeaReader
    0
    References
    8
    Citations
    NaN
    KQI
    []