Low damage patterning of In0.53Ga0.47As film for its integration as n-channel in a fin metal oxide semiconductor field effect transistor architecture

2018 
One of the challenges of InGaAs integration as a channel in a fin field effect transistor architecture is the patterning of the III–V fin with nanometer scale definition, vertical sidewalls, and undamaged surfaces. In this work, the authors propose a two-step process to etch anisotropically and with minimal damage thin layers of InGaAs material. The first step of the process aims to modify the InGaAs surface on a well-defined thickness with limited sputtering by implanting light ions generated by a low pressure He/O2 plasma. The depth of the material modification is well controlled by the ion energy and saturates with process time, giving to this step a self-limited behavior. The second step uses aqueous HF solution to remove the modified oxidized InGaAs layer with infinite selectivity over the nonmodified InGaAs layer. The repetition of cycles of the two-step process was applied to etch the thin film of InGaAs as well as pattern using a SiN hard mask. Blanket experiments show that each cycle of the two-s...
    • Correction
    • Source
    • Cite
    • Save
    • Machine Reading By IdeaReader
    0
    References
    3
    Citations
    NaN
    KQI
    []