gem5-FIM: a flexible and scalable multicore soft error assessment framework to early reliability design space explorations

2018 
Increasing chip power densities allied to the continuous technology shrink are making emerging multiprocessor embedded systems more vulnerable to radiation-induced transient faults (i.e., soft errors). Due the high cost and design time inherent to board-based fault injection approaches, more appropriate and efficient simulation-based fault injection frameworks become crucial to guarantee the adequate design exploration support at the early design phases. Virtual platforms emerge as a solution to early reliability explorations as it offers a flexible modeling environment, acceptable simulation speed, and different accuracy levels. This work introduces a fast and flexible fault injector framework (gem5-FIM) developed using a state-of-the-art cycleaccurate virtual platform (i.e., gem5 simulator), which accelerates the analysis of complex and large-scale systems composed of commercial processors under different classes of fault campaigns. Further, the gem5-FIM supports multicore ARM processor models running sophisticated software stacks comprising a Linux OS and parallelization libraries (e.g., MPI, OpenMP). Authors also propose different techniques to boost up the fault injection process.
    • Correction
    • Source
    • Cite
    • Save
    • Machine Reading By IdeaReader
    0
    References
    1
    Citations
    NaN
    KQI
    []