H2/N2 plasma damage on porous dielectric SiOCH film evaluated by in situ film characterization and plasma diagnostics

2011 
This study investigates the mechanism of H2/N2 plasma ashing damage of porous SiOCH films. Porous SiOCH films were treated by a H2/N2 plasma using a 100-MHz capacitively coupled plasma etcher. The impact of ions, radicals, and vacuum ultraviolet radiation on the porous SiOCH films was investigated using in situ bulk analysis techniques such as spectroscopic ellipsometry and Fourier-transform infrared spectroscopy and ex situ film characterization techniques such as dynamic secondary ion mass spectrometry and x-ray photoelectron spectroscopy. In addition, plasma analysis including vacuum ultraviolet absorption spectroscopy was performed. The film characterization and plasma analysis show that the extraction of methyl by H radicals was enhanced by light while N radicals were responsible for inhibit the extraction of Si-CH3 bonds by forming nitride layer. The H2/N2 plasma damage mechanism is discussed based on characterization of the film and plasma diagnostics.
    • Correction
    • Source
    • Cite
    • Save
    • Machine Reading By IdeaReader
    21
    References
    23
    Citations
    NaN
    KQI
    []