This paper summarizes the development of EUV resists at Semiconductor Leading Edge Technologies (Selete): the benchmarking results of more than 160 EUV resists from resist manufacturers using the small field exposure tool (SFET) and the selection of the Selete standard resists (SSR) for the SFET. We discuss the current status of EUV resist performance compared to the targets for 32-nm half-pitches (hp) concerning resist sensitivity, ultimate resolution, and line-width-roughness (LWR). In addition we show the screening results of new resin materials.
EUV lithography performances of resist materials with different molecular weight of polymer were investigated. EUV exposure experiment using a SFET at Selete clearly showed that line-width roughness (LWR) and 1:1 half-pitch (hp) resolution were each improved using the polymers with middle and low molecular weights. These polymers showed high dissolution contrast relative to polymer with high molecular weight. Mask linearity data also showed that the polymer with low molecular weight gave a linear dependence on critical dimension (CD) against mask size down to hp 26 nm. Thermal analysis of resist film revealed that thermal glass transition temperature (Tg) was dramatically decreased from 190 °C to 110 °C with decreasing molecular weight from high to low. In contrast with Tg which directly reflects mobility of polymer, exposure latitude (EL) was increased from 12.3% to 14.5% at hp 32 nm by decreasing molecular weight of polymer. Similarly, iso-dense bias was also improved by utilizing the low molecular weight polymer. Combination of PAG-B with the low molecular weight polymer caused further improvement in mask linearity, EL, and iso-dense bias at hp 32 nm, although LWR was rather increased.
Repeated rotating bending fatigue tests were carried out on the notched specimens of Cr-Mo steel, SCM 4, especially at and near it's fatigue limit, and its statistical fatigue properties were investigated. The distributions of the number, depth and length of microcracks being developed were determined at various steps of fatigue lives, and the distribution shapes of fatigue lives were obtained.A new model which can explain the statistical properties of microcracks and fatigue lives was proposed, and a new method to evaluate the distribution of lives at and near the fatigue limit was established. The new method was essentially based on the consideration of properties of microcracks and the distribution of crystallographical properties. This new method of statistical evaluation presents the so-called variable finite extreme value distribution function.The tested lives were analysed by this evaluation method. It was revealed that the distributions of lives calculated at and near the fatigue limit were in good agreement with the test results.
Extreme ultraviolet lithography (EUVL) is moving into the phase of the evaluation of integration for device fabrication. This paper describes its applicability to the fabrication of back-end-of-line (BEOL) test chips with a feature size of hp 35 nm, which corresponds to the 19-nm logic node. The chips were used to evaluate two-level dual damascene interconnects made with low-k film and Cu. The key factors needed for successful fabrication are a durable multi-stack resist process, accurate critical dimension (CD) control, and usable overlay accuracy for the lithography process. A multi-stack resist process employing 70-nm-thick resist and 25-nm-thick SOG was used on the Metal-1 (M1) and Metal- 2 (M2) layers. The resist thickness for the Via-1 (V1) layer was 80 nm. To obtain an accurate CD, we employed rulebased corrections involving mask CD bias to compensate for flare variation, mask shadowing effects, and optical proximity effects. With these corrections, the CD variation for various 35-nm trench and via patterns was about ± 1 nm. The total overlay accuracy (|mean| ± 3σ) for V1 to M1 and M2 to V1 was below 12 nm. Electrical tests indicate that the uses of Ru barrier metal and scalable porous silica are keys to obtaining operational devices. The evaluation of a BEOL test chip revealed that EUVL is applicable to the fabrication of hp-35-nm interconnects and that device development can be accelerated.
Recently, resist edge roughness with reducing pattern size has become a serious problem. We investigated the roughness of chemically amplified, positive-tone resists, experimentally. To reduce the roughness, we added a quencher with strong basicity to the resist, and observed sub quarter micron nested lines. As a result, the roughness was improved with increasing the quencher concentration, especially in 0.15 micrometers nested line patterns. Adding quencher was not too much effective for the larger size patterns. The acid concentration in resist was increased by adding quencher, because the nominal dose became large by that. It was also indicated experimentally that generated acid concentration at pattern edge was nearly equal to that of quencher at nominal dose. The nominal dose was determined by quencher concentration. We defined effective acid concentration as remaining acid concentration after quenching. This effective acid concentration increased with increasing quencher concentration too. The roughness seemed to be generated when effective acid concentration profile was lowered. It is indicated that the resist edge roughness with reducing pattern size can be expected from its effective acid concentration profile.
“Dose-MEF” was measured on ArF and KrF resists. The “dose-MEF” is very important factor for mask making spec. Conventional lithography simulation such as “Diffused aerial image simulation” does not predict the ArF experimental value precisely. In order to explain the dose-MEF of ArF resist, we introduce intensity biasing. The intensity biasing is caused by flare of exposure tool and another mechanism. The intensity biasing reduces the dose-MEF. Small dose-MEF leads to the relaxed mask spec.
Viability of conventional KrF imaging featuring a numerical aperture of 0.6 for 150 nm lithography is investigated by applying a novel process to reduce resist thickness down to around 100 nm. Both simulation data of aerial image contrast and resist development are applied to understand a degradation model of clear process window predicted by the aerial image contrast calculations. Comparing simulation results of the process windows’ dependencies on the resist thickness, which are derived from combinations of aerial image contrast and resist development calculations, experimental results respecting the process windows’ dependencies on the resist thickness are then discussed to elucidate how the aerial image contrast could be degraded. It is found that the minimum requirements of the process windows of 150 nm equal lines and spaces for the early stage of 1 Gbit dynamic random access memory development could narrowly be guaranteed in the case of the thickness range of less than 300 nm, and also that a great advance in the process window would be obtained when using an unconventional imaging system with 2/3 annular aperture. Further improvement of the degraded resist profiles is demonstrated in order to obtain a more stable pattern fabrication process.
The main development issue regarding EUV resist has been how to concurrently achieve high sensitivity, high resolution, and low line width roughness (LWR). This paper describes the current status of EUV resist development at Selete with a small field exposure tool (SFET). Selete standard resist 2 (SSR2) can simultaneously resolve 26-nm dense and isolated lines with the SFET. Our top data for resolution with annular illumination shows a 25-nm half-pitch. In evaluating resist performance, resist blur should be estimated separately from exposure tool fluctuations. By considering the aberration, flare, and actual illumination shape, resist blur can be estimated more accurately. We estimate the resist blur for SSR2 to be between 9.5 and 10.4 nm as sigma of the Gaussian convolution. We also present benchmarking results for suppliers' samples. Though sensitivity has been improved somewhat in some resists, further improvement is necessary. Further reduction of LWR is especially needed.
The architectural design of recreational open spaces or malls in large-scale commercial facilities is often overlooked since these spaces are usually not considered business profitable. However, the impact of its design on visitors’ behavior is crucial for creating an enhanced shopping experience, which generates significant business-related benefits. This study reported a new trajectory-based survey for determining the outdoor malls’ actual use in large-scale commercial facilities. We demonstrate the effectiveness of our proposed survey approach combined with a superimposed analysis method in architectural planning. Our method presents an extension of conventional civil engineering methods used for vehicle location surveys by adding a time component to capture the walking trajectories of the facility’s users. Furthermore, we present attempts to establish a superimposed evaluation method by combining "Action" and "Intention" or "Action" and "Group composition." Our method's application helped unveil fundamental problems in designing malls in private commercial facilities based on their actual use by visitors. We believe that our approach holds a strong potential for improving the monitoring of pedestrians’ behavior for the effective future development of urban open spaces.