The main development issue regarding EUV resist has been how to concurrently achieve high sensitivity, high resolution, and low line width roughness (LWR). This paper describes the current status of EUV resist development at Selete with a small field exposure tool (SFET). Selete standard resist 2 (SSR2) can simultaneously resolve 26-nm dense and isolated lines with the SFET. Our top data for resolution with annular illumination shows a 25-nm half-pitch. In evaluating resist performance, resist blur should be estimated separately from exposure tool fluctuations. By considering the aberration, flare, and actual illumination shape, resist blur can be estimated more accurately. We estimate the resist blur for SSR2 to be between 9.5 and 10.4 nm as sigma of the Gaussian convolution. We also present benchmarking results for suppliers' samples. Though sensitivity has been improved somewhat in some resists, further improvement is necessary. Further reduction of LWR is especially needed.
The energy of photons used for high-volume production of semiconductor devices will enter the energy range of ionizing radiation upon the use of extreme ultraviolet (EUV) radiation. In the energy range of ionizing radiation, thermalized electrons play the major role in the sensitization of acid generators in the chemically amplified resists, the standard resist for high-volume production of semiconductor devices. In this study, the effects of thermalization distance on the line edge roughness (LER) in the sub-10 nm fabrication using EUV lithography were investigated on the basis of the sensitization mechanisms of chemically amplified EUV resists. The optimum thermalization distance in terms of the trade-off relationships between resolution, LER, and sensitivity decreased with the half-pitch of line-and-space patterns. The optimum thermalization distance decreased to less than 2 nm at 9 nm half-pitch and further decreased to less than 1 nm at 5 nm half-pitch.
With the progress of lithography technology, the high-volume production of semiconductor devices with sub-10-nm resolution has garnered the attention of the semiconductor industry. In this study, the extendibility of chemically amplified resist processes to the sub-10-nm half-pitch node was investigated, assuming the use of extreme ultraviolet lithography. The latent images were calculated on the basis of the performance of the latest chemically amplified resists. With the reduction in half-pitch, the line edge roughness (LER) rapidly increased in the sub-10-nm range when the performance of the current EUV resists was assumed. Although the sub-10-nm fabrication is considered to be feasible, a significant increase in the acid generation concentration and the development of related material technologies are required.
The trade-off between line edge roughness (LER), sensitivity and resolution is the most challenging issue associated with the development of resist processes for extreme ultraviolet (EUV) lithography. It has been reported that quenchers (base compounds) affect the sensitivity, the resolution and the extent of LER. However, the details are still unclear. In this study, the effects of quencher diffusion constants in 22 nm pattern formation were investigated using a simulation based on the reaction mechanism of chemically amplified EUV resists. For the suppression of line width expansion due to acid diffusion, mobile quenchers are effective. The quality of latent image is also improved with the increase of diffusion constant. However, the high mobility of quenchers increases the pattern dependence of line width. The same order of diffusion constants for acids and quenchers are preferable at sub-30 nm fabrication.
In chemically amplified resists, acids catalyze pattern formation reactions with acid diffusion in the presence of quenchers, starting with the initial acid distribution as a boundary condition. Diffusion coefficients have been intensively investigated and reported to depend on the condition of the matrix. In this study, the effect of nonconstant diffusion coefficients was investigated using a simulation based on the reaction mechanisms of chemically amplified extreme ultraviolet resists. It was found that nonconstant diffusion coefficients have a significant impact on the resolution, sensitivity, and image quality (line edge roughness) in 22 nm fabrication.
The performance of chemically amplified resist is approaching its physical limit with the reduction of feature sizes due to the acid diffusion needed for the solubility change of resist polymer. The line edge roughness (LER) of chemically amplified resists rapidly increases in the sub-10-nm-half-pitch region when the half-pitch is decreased. Also, the stochastic defect (pinching and bridges) generation is a significant concern for the high resolution patterning with high throughput. To solve these problems, the increase of the density of resist films is an important strategy. Metal oxide nanoparticle resists have attracted much attention as the next generation resist used for the high-volume production of semiconductor devices because of their high density property. However, the sensitization mechanism of the metal oxide nanoparticle resists is unknown. Understanding the sensitization mechanism is important for the efficient development of resist materials. In the previous study[1], the numbers of electron-hole pairs required for the solubility change of the resist films were estimated for a zirconia nanoparticle and a ligand shell, respectively. In this study, the pattern formation mechanism of zirconia nanoparticle resist was investigated. The elementary reactions possibly induced in the zirconia nanoparticle resist were investigated using a pulse radiolysis method. The pulse radiolysis is a powerful method to directly observe the kinetics of short-lived intermediates produced by an ionizing radiation. The pattern formation mechanism was assumed by integrating the elementary reactions. The resist patterns fabricated using an EUV exposure tool were analyzed on the basis of the assumed pattern formation mechanism. In the material design of metal oxide nanoparticle resists, it is important to efficiently use the electron-hole pairs generated in nanoparticles for the chemical change of ligand molecules. Acknowledgement This work was partially supported by Ministry of Economy, Trade and Industry (METI) and the New Energy and Industrial Technology Development Organization (NEDO). Reference [1] T. Kozawa, J. J. Santillan, and T. Itani, "Electron–hole pairs generated in ZrO2 nanoparticle resist upon exposure to extreme ultraviolet radiation", Jpn. J. Appl. Phys. 57, 026501 (2018).
We characterized the reactivity of a model metal resist for extreme ultraviolet (EUV) lithography by using a midInfrared free electron laser (mid-IR FEL). The evaluated metal resist consisted of a zirconium-oxide core and methacrylic-acid (MAA) ligands. The mid-IR spectra of the metal resist were measured to study photoresponse to FEL irradiation. In the spectra, the metal resist exposed with EUV light showed decreases of peaks of MAAs coordinating a metal core and new peaks have emerged. Mid-IR FEL study suggested that the EUV exposure made ligands of the metal resist more reactive, which are possible pointers increasing the sensitivity of the metal resist.
This work explores the application of alternative developer solutions ("developers") with the aim of understanding their potential effectivity in the reduction of resist-based stochastic pattern defects (or "stochastic defects") in extreme ultraviolet lithography (EUVL). Specifically, the application of a quaternary ammonium hydroxide type aqueous developer; ethyltrimethylammonium hydroxide (ETMAH) in comparison to the industry de facto standard aqueous alkali tetramethylammonium hydroxide (TMAH) developer was investigated. Focusing on EUV exposed contact hole (CH) patterns on a typical chemically amplified resist (CAR), the effect of these developers on stochastic defects were assessed. As a result, patterning investigations showed that the lithographic performance of the CAR developed in ETMAH is comparable to the those obtained with TMAH. In situ resist dissolution analysis using the high-speed atomic force microscope (HS-AFM) confirms this as it showed that the rate of CH formation during resist dissolution in both developers are relatively the same. Moreover, it was also understood that compared to the commonly used alkali developer concentration of 0.26N, a lower ETMAH developer concentration of 0.20N resulted in stochastic defect margin improvement, while maintaining lithographic performance. In situ resist dissolution analysis showed an obvious slowing down of CH pattern formation rate at 0.20N concentration, suggesting the possibility that of over-dissolution at the higher concentration condition, translating to an increase in merging CH defects. The results from this study show the advantages of further pursuing optimal developers for EUVL. This is especially significant as these findings indicate how optimal developers mitigate resist-based stochastic defects while maintaining lithographic performance.
The application of alternative developers for both extreme ultraviolet (EUV) and 193-nm immersion ("ArFi") lithography is investigated by focusing on their effects on the "Defect-Not-Found" (DNF) margins. In case of EUV lithography, defects primarily comprise line bridging at the underdose region and line breaks at the overdose region. The application of a 0.26-N tetrabutylammonium hydroxide (TBAH) developer solution when compared to that of a 0.26-N tetramethylammonium hydroxide (TMAH) developer solution resulted in improved resist sensitivity while maintaining the same DNF margin. In case of ArFi lithography, defects primarily comprise line bridging at the underdose region and pattern collapse at the overdose region. The same improvement that has been mentioned above can be observed with respect to the resist sensitivity using the TBAH developer solution. However, the TBAH developer solution significantly minimizes the pattern collapse at the overdose region, further extending the minimum line pattern size. This translated to significant improvements in both the exposure latitude (EL) and overdose margin (OM) and resulted in a significant increase in the DNF margin. Furthermore, the application of a non-ionic surfactant type additive on the TMAH developer solution demonstrates resist sensitivity improvement and pattern collapse mitigation. Increased EL and OM values were observed, which also resulted in increased DNF margin. Results indicate the advantages of utilizing alternative developer solutions in improving the DNF margins.
The main development issue for EUV resists is how to concurrently achieve high sensitivity, resolution below 22-nm half-pitch (hp), and low line width roughness (LWR) in the required fine patterns. Sensitivity and resolution continue to be improved through advances in EUV resist material research. However, through the material-approach, LWR remains a difficult issue. Thus, LWR-reduction from the point of view of alternative resist processes was investigated. As a result, LWR improvement was obtained utilizing alternative developer and rinse solutions. However, a difference in the LWR-reduction effect of these processes depending on the type of resist material used was observed.