logo
    The magnetic nature of wide EUV filament channels and their role in the mass loading of CMEs
    86
    Citation
    40
    Reference
    10
    Related Paper
    Citation Trend
    Abstract:
    Previous works have shown that dark and wide EUV filament channels observed at Å are due to absorption of EUV lines in cool plasma condensations that are not observed in . We extend this interpretation and we address the issue of the possible injection of their mass into CMEs, through the magneto-hydrostatic modeling in 3D of one filament observed both in and in EUV. The model parameters are fixed so as to match the observations only. Further comparison of the model with the EUV observations reveal the magnetic nature of the absorbing plasma condensations. They are formed in magnetic dips, as for the filament itself. Opacity ratios and the hydrostatic condition imply that the dips must be filled by cool material up to 1700 km, which increases the filament mass by 50% as compared to estimations. Far from the filament, the absorbing condensations are located below 4 Mm in altitude above the photosphere, on the edge of weak photospheric parasitic polarities, within the lower parts of long field lines overlaying the filament. By physical analogy with filament feet, we redefined these extended regions as EUV feet. The broadening of the EUV filament channel is dominated by EUV feet, while the larger filling of dips plays a non-negligible but minor role. Further implications from this work are discussed, on the visibility and the geometry of the condensations, on the existence of EUV filament channels in the absence of filaments, on the loading of cool material into filament feet through bald patch reconnection and on the complex geometry of the upper prominence-corona transition region. The magnetic topology implies that during the filament eruption, the mass of its wide EUV feet will not contribute to the CME, whereas the extra mass provided by the large filling of dips in the filament flux tube will be loaded into the CME.
    Keywords:
    Extreme Ultraviolet Lithography
    Solar prominence
    Photosphere
    Extreme ultraviolet
    Opacity
    Extreme ultraviolet (EUV) photoresists are known to outgas during exposure to EUV radiation in the vacuum environment. This is of particular concern since some of the outgassed species may contaminate the nearby EUV optics and cause a loss of reflectivity and therefore throughput of the EUV exposure tools. Due to this issue, work has been performed to measure the species and quantities that outgas from EUV resists. Additionally, since the goal of these measurements is to determine the relative safety of various resists near EUV optics, work has been performed to measure the deposition rate of the outgassed molecules on Mo/Si-coated witness plate samples. The results for various species and tests show little measurable effect from resist components on optics contamination with modest EUV exposure doses.
    Extreme Ultraviolet Lithography
    Extreme ultraviolet
    Outgassing
    Optical coating
    Citations (2)
    An experimental setup that directly reproduces extreme ultraviolet (EUV) lithography relevant conditions for detailed component exposure tests is described. The EUV setup includes a pulsed plasma radiation source, operating at 13.5 nm; a debris mitigation system; collection and filtering optics; and an ultra-high vacuum experimental chamber, equipped with optical and plasma diagnostics. The first results, identifying the physical parameters and evolution of EUV-induced plasmas, are presented. Finally, the applicability and accuracy of the in situ diagnostics is briefly discussed.
    Extreme Ultraviolet Lithography
    Extreme ultraviolet
    Vacuum chamber
    Ultraviolet
    The lifetime of multilayer mirrors is an outstanding problem on the road to commercialization of extreme-ultraviolet (EUV) lithography. The mirrors are exposed to high-intensity EUV radiation in a vacuum with traces of water vapor and hydrocarbons. The combination of EUV and reactive species leads to chemical degradation of the mirror surfaces—carbon deposition and/or oxidation of the Si surface. In order to understand and quantify these processes, as well as to study mitigation schemes, we have constructed a dedicated synchrotron-based facility with the capability to deliver high-intensity EUV radiation in a variety of trace-gas atmospheres. The facility features a spherical Mo–Si coated mirror and a thin Be foil captured in a gate valve, which serves as both a spectral filter and vacuum seal. We will describe this facility and its performance.
    Extreme Ultraviolet Lithography
    Extreme ultraviolet
    Outgassing
    Optical coating
    Citations (22)
    The cosiderable progress in the development of extreme ultraviolet (EUV) sources such as laser-produced plasma, discharge-produced plasma, high-harmonic generation, X-ray laser, Synchrotron radiation, X-ray free electron laser will create new scientific research field and new industrial technology field. These EUV sources research have also led to progress in the development of EUV optical devices such as multilayer mirrors. This paper reviews the briefly history and recent results of multilayer optics for EUV.
    Extreme Ultraviolet Lithography
    Extreme ultraviolet
    Citations (0)
    The Mo/Si multilayer mirrors used for extreme ultraviolet (EUV) lithography can become contaminated during exposure in the presence of some hydrocarbons [1-3]. Because this leads to a loss in the reflectivity of the optics and throughput of the exposure tools, it needs to be avoided. Since photoresists are known to outgas during exposure to EUV radiation in a vacuum environment, the careful choice of materials is important to preserving the EUV optics. Work therefore has been performed to measure the species and quantities of molecules that outgas from EUV resists when exposed to EUV radiation [4-7].
    Extreme Ultraviolet Lithography
    Outgassing
    Extreme ultraviolet
    Citations (12)
    Extreme Ultraviolet Lithography
    Extreme ultraviolet
    Ultraviolet
    The absorption of extreme-ultraviolet (EUV) pellicle could be the most critical problem because the EUV source power is still not good enough for achieving mass production. We found that the transmission loss due to the EUV pellicle could be compensated through proper optical proximity correction (OPC) of a pellicled mask. Patterning results of OPCed masks with different transmission pellicles are shown for various 1D and 2D patterns. From the results, it is clearly shown that we do not need to increase the dose to avoid the throughput loss even if a pellicle which has 80 % one-pass transmission is used. Therefore, the EUV pellicle manufacturing would be much easier because we can use much thicker film with higher absorption.
    Extreme Ultraviolet Lithography
    Extreme ultraviolet
    Realization (probability)
    Citations (1)
    Scatterometry, the analysis of light diffracted from a periodic structure, is a versatile metrology for characterizing periodic structures, regarding critical dimension (CD) and other profile properties. For extreme ultraviolet (EUV) masks, only EUV radiation provides direct information on the mask performance comparable to the operating regime in an EUV lithography tool. With respect to the small feature dimensions on EUV masks, the short wavelength of EUV is also advantageous since it increases the sensitivity for small structural details. Measurements using PTB's EUV reflectometer at the storage ring BESSY II showed that it is feasible to derive information on the absorber line profile in periodic areas of lines and spaces by means of rigorous numerical modeling with the finite element method (FEM). A prototype EUV mask with fields of nominally identical lines was used for the measurements. In this contribution we correlate the scatterometry data to CD-SEM and surface nano probe measurements of the line profiles as provided by the mask supplier. We discuss status of the determination of CD and side-wall geometry by scatterometry using rigorous FEM calculations of EUV diffraction and directions for further investigations.
    Extreme Ultraviolet Lithography
    Extreme ultraviolet
    Line (geometry)
    Characterization
    Critical dimension
    Citations (16)
    This paper outlines the major challenges for the development of 193 nm immersion and extreme ultraviolet (EUV) resists. The major issues for the implementation of 193 nm immersion are developing high index immersion fluids and high index lens materials to enable 32 nm half-pitch imaging. For EUV resists, reaction mechanisms are not well understood. In addition, the many constraints placed on EUV resists, such as needing low photospeed, low line width roughness, and low outgassing while achieving the desired resolution, make resist design very difficult.
    Extreme Ultraviolet Lithography
    Extreme ultraviolet
    Outgassing
    Immersion lithography
    Immersion
    Ultraviolet
    Extreme ultraviolet (EUV) radiation from laser-produced plasma has been studied for mass-production of the next generation semiconductor devices with EUV lithography. A full set of experimental databases are provided for a wide range of parameters of lasers and targets. These data are utilized directly as a technical guide-line for EUV source system design in the industry as well as used to benchmark the radiation hydrodynamic code, including equation-of-state solvers and advanced atomic kinetic models, dedicated for EUV plasma predictions. Present status of the LPP EUV source studies is presented.
    Extreme Ultraviolet Lithography
    Extreme ultraviolet
    Citations (4)