Feasibility study of sub-10-nm-half-pitch fabrication by chemically amplified resist processes of extreme ultraviolet lithography: II. Stochastic effects
16
Citation
35
Reference
10
Related Paper
Citation Trend
Abstract:
Line edge roughness (LER) rapidly increases in the sub-10-nm-half-pitch region of resist processes used for the fabrication of semiconductor devices. Sub-10-nm fabrication with high throughput is a challenging task. In this study, the stochastic effects (LER and stochastic defect generation) of chemically amplified resist processes in the sub-10-nm-half-pitch node were investigated, assuming the use of extreme ultraviolet (EUV) lithography. The latent images were calculated by a Monte Carlo method on the basis of the sensitization and reaction mechanisms of chemically amplified EUV resists. 7-nm-half-pitch fabrication by chemically amplified resist processes is considered to be feasible. However, significant improvement in the efficiencies of the conversion processes from optical images to resist images is required.Keywords:
Extreme Ultraviolet Lithography
Extreme ultraviolet
Ultraviolet
I am doing my research in the extreme ultraviolet (EUV). There are many applications that utilize the EUV. One of those applications is photolithography. Photolithography is the process of etching a design into a substrate using light which is used in the production of computer chips. Currently it is possible to use visible and ultraviolet light in the process of photolithography. Using EUV in photolithography would enable us to make smaller computer chips than we are currently able to develop. In past years the field of astrophysics has grown to utilize many areas of the electromagnetic spectrum. The EUV has recently become a useful tool to astrophysicists. In March 2000 a satellite was launched as part of the IMAGE mission to image the magnetosphere of the earth in the EUV. One of the specific goals of this mission was to study the 30.4 nm line of the earth’s magnetosphere which is part of the EUV. This was only possible due to the development of carefully engineered mirrors that were able to reflect the 30.4 nm line and absorb other wavelengths [1]. This gave astrophysicists a new tool to study outer space. These are just a couple of the many applications of EUV research.
Extreme Ultraviolet Lithography
Extreme ultraviolet
Ultraviolet
Ultraviolet astronomy
Cite
Citations (0)
Extreme ultraviolet (EUV) photoresists are known to outgas during exposure to EUV radiation in the vacuum environment. This is of particular concern since some of the outgassed species may contaminate the nearby EUV optics and cause a loss of reflectivity and therefore throughput of the EUV exposure tools. Due to this issue, work has been performed to measure the species and quantities that outgas from EUV resists. Additionally, since the goal of these measurements is to determine the relative safety of various resists near EUV optics, work has been performed to measure the deposition rate of the outgassed molecules on Mo/Si-coated witness plate samples. The results for various species and tests show little measurable effect from resist components on optics contamination with modest EUV exposure doses.
Extreme Ultraviolet Lithography
Extreme ultraviolet
Outgassing
Optical coating
Cite
Citations (2)
An experimental setup that directly reproduces extreme ultraviolet (EUV) lithography relevant conditions for detailed component exposure tests is described. The EUV setup includes a pulsed plasma radiation source, operating at 13.5 nm; a debris mitigation system; collection and filtering optics; and an ultra-high vacuum experimental chamber, equipped with optical and plasma diagnostics. The first results, identifying the physical parameters and evolution of EUV-induced plasmas, are presented. Finally, the applicability and accuracy of the in situ diagnostics is briefly discussed.
Extreme Ultraviolet Lithography
Extreme ultraviolet
Vacuum chamber
Ultraviolet
Cite
Citations (21)
The cosiderable progress in the development of extreme ultraviolet (EUV) sources such as laser-produced plasma, discharge-produced plasma, high-harmonic generation, X-ray laser, Synchrotron radiation, X-ray free electron laser will create new scientific research field and new industrial technology field. These EUV sources research have also led to progress in the development of EUV optical devices such as multilayer mirrors. This paper reviews the briefly history and recent results of multilayer optics for EUV.
Extreme Ultraviolet Lithography
Extreme ultraviolet
Cite
Citations (0)
The Mo/Si multilayer mirrors used for extreme ultraviolet (EUV) lithography can become contaminated during exposure in the presence of some hydrocarbons [1-3]. Because this leads to a loss in the reflectivity of the optics and throughput of the exposure tools, it needs to be avoided. Since photoresists are known to outgas during exposure to EUV radiation in a vacuum environment, the careful choice of materials is important to preserving the EUV optics. Work therefore has been performed to measure the species and quantities of molecules that outgas from EUV resists when exposed to EUV radiation [4-7].
Extreme Ultraviolet Lithography
Outgassing
Extreme ultraviolet
Cite
Citations (12)
Extreme Ultraviolet Lithography
Extreme ultraviolet
Ultraviolet
Cite
Citations (0)
The absorption of extreme-ultraviolet (EUV) pellicle could be the most critical problem because the EUV source power is still not good enough for achieving mass production. We found that the transmission loss due to the EUV pellicle could be compensated through proper optical proximity correction (OPC) of a pellicled mask. Patterning results of OPCed masks with different transmission pellicles are shown for various 1D and 2D patterns. From the results, it is clearly shown that we do not need to increase the dose to avoid the throughput loss even if a pellicle which has 80 % one-pass transmission is used. Therefore, the EUV pellicle manufacturing would be much easier because we can use much thicker film with higher absorption.
Extreme Ultraviolet Lithography
Extreme ultraviolet
Realization (probability)
Cite
Citations (1)
Scatterometry, the analysis of light diffracted from a periodic structure, is a versatile metrology for characterizing periodic structures, regarding critical dimension (CD) and other profile properties. For extreme ultraviolet (EUV) masks, only EUV radiation provides direct information on the mask performance comparable to the operating regime in an EUV lithography tool. With respect to the small feature dimensions on EUV masks, the short wavelength of EUV is also advantageous since it increases the sensitivity for small structural details. Measurements using PTB's EUV reflectometer at the storage ring BESSY II showed that it is feasible to derive information on the absorber line profile in periodic areas of lines and spaces by means of rigorous numerical modeling with the finite element method (FEM). A prototype EUV mask with fields of nominally identical lines was used for the measurements. In this contribution we correlate the scatterometry data to CD-SEM and surface nano probe measurements of the line profiles as provided by the mask supplier. We discuss status of the determination of CD and side-wall geometry by scatterometry using rigorous FEM calculations of EUV diffraction and directions for further investigations.
Extreme Ultraviolet Lithography
Extreme ultraviolet
Line (geometry)
Characterization
Critical dimension
Cite
Citations (16)
As extreme ultraviolet lithography (EUVL) prepares for its insertion into the high-volume manufacturing phase, many challenges still remain to be addressed. Among several issues, development of EUV resists with tight specifications of sensitivity (dose), resolution (HP) and line-edge roughness (LER) is required. Chemically-amplified resists (CARs) have been the major paradigm in the development of EUV resists, although several alternatives, such as molecular resists and inorganic resists, are also under development. Here we present a comparative study of the performance of CARs using the PSI's EUV interference lithography tool, which can achieve patterning down to 7 nm HP. Also the current status of EUV resist availability towards 11 nm HP technology nodes is discussed. We show resolution down to 12 nm HP with CARs. Nevertheless, for patterning below 18 nm HP, the resolution is achieved at the expanse of sensitivity and LER. The global trend of decreasing sensitivity with increasing LER is valid across the different resists. This trade-off between resolution, LER, and sensitivity (i.e. RLS trade-off) is mainly dominated by the acid diffusion blur and remains a challenge. In addition, pattern collapse becomes a significant problem with increasing resolution. This can be partly overcome by the reducing the resist thickness, which leads to an increase in LER. Therefore, a new trade-off between pattern-collapse limited resolution and LER emerges. These two trade-offs make the progress in EUV resist development increasingly difficult.
Extreme Ultraviolet Lithography
Extreme ultraviolet
Interference lithography
Cite
Citations (15)
Extreme ultraviolet (EUV) radiation from laser-produced plasma has been studied for mass-production of the next generation semiconductor devices with EUV lithography. A full set of experimental databases are provided for a wide range of parameters of lasers and targets. These data are utilized directly as a technical guide-line for EUV source system design in the industry as well as used to benchmark the radiation hydrodynamic code, including equation-of-state solvers and advanced atomic kinetic models, dedicated for EUV plasma predictions. Present status of the LPP EUV source studies is presented.
Extreme Ultraviolet Lithography
Extreme ultraviolet
Cite
Citations (4)