Development of diagnostic tools for the EUV spectral range
1
Citation
0
Reference
10
Related Paper
Citation Trend
Abstract:
The successful implementation of EUV lithography systems strongly relies both on the efficiency of the employed optical components and the precise control of the relevant source parameters. Utilizing a laser-based plasma source for the generation of 13nm radiation, metrology for comprehensive characterization of EUV radiation and the related optics is developed at Laser-Laboratorium Goettingen. A soft X-ray plasma is produced with the help of a Nd:YAG laser which is focused into a pulsed xenon or oxygen gas jet. The alternate use of these two target gases accomplishes either a very intense broadband emission (Xe), or a less intense narrow-band line emission (O2) at the wavelength of 13nm. Additional filtering with the help of Mo/Si mirrors yields quasi-monochromatic 13nm radiation, as needed for testing of optical components, especially reflectometry. The performance of the EUV source is monitored with respect to source diameter, emission characteristics, and 13nm conversion efficiency by the help of different diagnostic tools, including EUV sensitive pin-hole cameras, photo-diodes and an EUV spectrometer. Moreover, first wavefront measurements of EUV radiation are performed with the help of a Hartmann wavefront analyzer, which was sensibilized for 13nm radiation.Keywords:
Extreme Ultraviolet Lithography
Extreme ultraviolet
Extreme Ultraviolet Lithography
Extreme ultraviolet
Spectral resolution
Ultraviolet
Cite
Citations (13)
Extreme ultraviolet (EUV) photoresists are known to outgas during exposure to EUV radiation in the vacuum environment. This is of particular concern since some of the outgassed species may contaminate the nearby EUV optics and cause a loss of reflectivity and therefore throughput of the EUV exposure tools. Due to this issue, work has been performed to measure the species and quantities that outgas from EUV resists. Additionally, since the goal of these measurements is to determine the relative safety of various resists near EUV optics, work has been performed to measure the deposition rate of the outgassed molecules on Mo/Si-coated witness plate samples. The results for various species and tests show little measurable effect from resist components on optics contamination with modest EUV exposure doses.
Extreme Ultraviolet Lithography
Extreme ultraviolet
Outgassing
Optical coating
Cite
Citations (2)
An experimental setup that directly reproduces extreme ultraviolet (EUV) lithography relevant conditions for detailed component exposure tests is described. The EUV setup includes a pulsed plasma radiation source, operating at 13.5 nm; a debris mitigation system; collection and filtering optics; and an ultra-high vacuum experimental chamber, equipped with optical and plasma diagnostics. The first results, identifying the physical parameters and evolution of EUV-induced plasmas, are presented. Finally, the applicability and accuracy of the in situ diagnostics is briefly discussed.
Extreme Ultraviolet Lithography
Extreme ultraviolet
Vacuum chamber
Ultraviolet
Cite
Citations (21)
A refined model of an extreme ultraviolet (EUV) mask stack consisting of the Mo/Si multilayer coated by a Ru protective layer and a TaBN/TaBO absorber layer was developed to facilitate accurate simulations of EUV mask performance for high-NA EUV photo-lithography (EUVL) imaging. The model is derived by combined analysis of the measured EUV and x ray reflectivity of an industry-representative mask blank. These two sets of measurements were analyzed using a combined free-form analysis procedure that delivers high-resolution x ray and EUV optical constant depth profiles based on self-adapted sets of sublayers as thin as 0.25 nm providing a more accurate description of the reflectivity than obtained from only EUV reflectivity. “Free-form analysis” means that the shape of the layer interfaces in the model is determined experimentally and is not given a priori by the structure model. To reduce the numerical effort for EUV imaging simulations, a low-resolution model of the multilayer and absorber stack with sublayer thicknesses larger than 2 nm, that fits to only the EUV reflectance, was derived from the high-resolution model. Rigorous high-NA EUVL simulations were done to compare the performance of the new model to our previous work [ Proc. SPIE 8886 , 88860B ( 2013 ) PSISDG 0277-786X 10.1117/12.2030663 ].
Extreme Ultraviolet Lithography
Extreme ultraviolet
Ultraviolet
Cite
Citations (12)
The cosiderable progress in the development of extreme ultraviolet (EUV) sources such as laser-produced plasma, discharge-produced plasma, high-harmonic generation, X-ray laser, Synchrotron radiation, X-ray free electron laser will create new scientific research field and new industrial technology field. These EUV sources research have also led to progress in the development of EUV optical devices such as multilayer mirrors. This paper reviews the briefly history and recent results of multilayer optics for EUV.
Extreme Ultraviolet Lithography
Extreme ultraviolet
Cite
Citations (0)
Successful implementation of extreme ultraviolet (EUV) lithography depends on research and progress toward minimizing collector optics degradation from intense plasma erosion and debris deposition. Thus studying the surface degradation process and implementing innovative methods, which could enhance the surface chemistry causing the mirrors to suffer less damage, is crucial for this technology development. A Mo-Au Gibbsian segregation (GS) alloy is deposited on Si using a dc dual-magnetron cosputtering system and the damage is investigated as a result of time dependent exposure in an EUV source. A thin Au segregating layer is maintained through segregation during exposure, even though overall erosion in the Mo-Au sample is taking place in the bulk. The reflective material, Mo, underneath the segregating layer is protected by this sacrificial layer, which is lost due to preferential sputtering. In addition to theoretical work, experimental results are presented on the effectiveness of the GS alloys to be used as potential EUV collector optics material.
Extreme Ultraviolet Lithography
Extreme ultraviolet
Ultraviolet
Degradation
X-ray optics
Optical coating
Cite
Citations (1)
The Mo/Si multilayer mirrors used for extreme ultraviolet (EUV) lithography can become contaminated during exposure in the presence of some hydrocarbons [1-3]. Because this leads to a loss in the reflectivity of the optics and throughput of the exposure tools, it needs to be avoided. Since photoresists are known to outgas during exposure to EUV radiation in a vacuum environment, the careful choice of materials is important to preserving the EUV optics. Work therefore has been performed to measure the species and quantities of molecules that outgas from EUV resists when exposed to EUV radiation [4-7].
Extreme Ultraviolet Lithography
Outgassing
Extreme ultraviolet
Cite
Citations (12)
Extreme Ultraviolet Lithography
Extreme ultraviolet
Ultraviolet
Cite
Citations (0)
The absorption of extreme-ultraviolet (EUV) pellicle could be the most critical problem because the EUV source power is still not good enough for achieving mass production. We found that the transmission loss due to the EUV pellicle could be compensated through proper optical proximity correction (OPC) of a pellicled mask. Patterning results of OPCed masks with different transmission pellicles are shown for various 1D and 2D patterns. From the results, it is clearly shown that we do not need to increase the dose to avoid the throughput loss even if a pellicle which has 80 % one-pass transmission is used. Therefore, the EUV pellicle manufacturing would be much easier because we can use much thicker film with higher absorption.
Extreme Ultraviolet Lithography
Extreme ultraviolet
Realization (probability)
Cite
Citations (1)
Extreme ultraviolet (EUV) radiation from laser-produced plasma has been studied for mass-production of the next generation semiconductor devices with EUV lithography. A full set of experimental databases are provided for a wide range of parameters of lasers and targets. These data are utilized directly as a technical guide-line for EUV source system design in the industry as well as used to benchmark the radiation hydrodynamic code, including equation-of-state solvers and advanced atomic kinetic models, dedicated for EUV plasma predictions. Present status of the LPP EUV source studies is presented.
Extreme Ultraviolet Lithography
Extreme ultraviolet
Cite
Citations (4)