Measurement of cross-sectional profile for sub-15nm hp LS patterns in nanoimprint templates using small-angle x-ray scattering

2020 
Nanoimprint lithography (NIL) is one of the highest potential candidates for next generation lithography (NGL) in semiconductors. NIL is very useful technology for fine pattern fabrications compared to conventional optical lithography. NIL technology makes use of replication from quartz templates. The cross-sectional profile of the template is directly transferred to the resist profile on a wafer. In relationship to that, the management of cross-sectional profile in quartz templates is needed much more than that of photomask. In our past reports, we had studied the performance of measuring cross-sectional profiles using grazing-incidence small-angle X-ray scattering (GISAXS). GISAXS has made it possible to analyze the periodic nanostructure patterns using 2D scattering X-ray intensity distribution. After much research we had found the application to not only sub-20nm hp lines-and-spaces(LS) patterns but also hole patterns was very effective. We have been developing templates for more scaling, “sub-15nm” by applying Self-Aligned Double Patterning (SADP). We showed the specific issues in SADP and the solution for quality assuranc In this report, we demonstrate the capabilities of measuring the cross-sectional profiles for sub-15 nm patterns using GISAXS. Taking into complicated periodic structures of SADP, we optimize the structural models to meet the demands. This report reveals GISAXS technique has potential for the sub-15nm metrology.
    • Correction
    • Source
    • Cite
    • Save
    • Machine Reading By IdeaReader
    0
    References
    0
    Citations
    NaN
    KQI
    []