PMMA removal selectivity to PS using dry etch approach for sub-10nm node applications

2015 
Directed Self-Assembly (DSA) of Block Copolymers (BCP) is one of the most promising alternative lithography techniques for sub-10 nm nodes. In this paper, we propose to study PMMA removal selectively to PS by plasma etching. This challenge requires a good selectivity between both polymers. Our best chemistries developed on blanket wafers have been tested on cylindrical and lamellar patterned wafers.
    • Correction
    • Source
    • Cite
    • Save
    • Machine Reading By IdeaReader
    6
    References
    1
    Citations
    NaN
    KQI
    []