Photoresist-induced development behavior in DBARCs

2010 
Developer-soluble bottom anti-reflective coating (DBARC) BSI.W09008 has provided promising lithography results with five different 193-nm photoresists, with the accomplishments including 120-nm L/S (1:1) and 130-nm L/S through-pitch (i.e., 1:1, 1:3, and isolated line). This DBARC is not inherently light sensitive and depends on diffusing photoacid from the exposed photoresist for development. With undercutting being an issue for the PAG-less DBARC with some resists, the shapes of 130-nm lines (both dense and isolated) were improved by either a) incorporating a small amount of a base additive in the BSI.W09008 formulation or b) altering the structure of the DBARC’s binder polymer. With selected photoresist(s) and/or resist processing conditions, either photoacid diffusion or photoacid activity is inadequate to give DBARC clearance and BSI.W09008 performs more as a dry BARC. The post-development residue obtained from BSI.W09008 on a silicon substrate is much less dependent on the initial DBARC film thickness and the exposure dose than for earlier-generation photosensitive (PS)-DBARC BSI.W07327A, using the same photoresist. BSI.W09008 also gives less post-development residue than BSI.W07327A using the same resist on a silicon nitride substrate at exposure doses of 14-25 mJ/cm 2 .
    • Correction
    • Source
    • Cite
    • Save
    • Machine Reading By IdeaReader
    7
    References
    1
    Citations
    NaN
    KQI
    []