Cost effective processes by using negative-tone development application

2015 
The high volume manufacturing with extreme ultraviolet (EUV) lithography is delaying due to its light source issue. Therefore, ArF-immersion lithography has still been the most promising technology for down scaling of device pitch. As the limitation of ArF-immersion single patterning is considered to be nearly 40nm half pitch (hp), ArF-immersion lithography has necessity to be extended by combining processes to achieve sub- 20nm hp patterning. Recently, there are many reports about the extension of ArF-immersion lithography, e.g., self-aligned multiple patterning (SAMP) and litho-etch-litho-etch (LELE) process. These methods have been realized by the combination of lithography, deposition, and etching. On the other aspect, 1-D layout is adopted for leading devices, which contains additional cut or block litho and etch processes to form 2-D like layout. Thus, according to the progress of down scaling technologies, number of processes increases and the cost of ownership (CoO) can not be neglected. Especially, the number of lithography steps and etching steps has been expanded by the combination of processes, and it has come to occupy a large portion of total manufacturing cost. We have reported that negative tone development (NTD) system using organic solvent developer have enough resolution to achieve fine narrow trench or contact hole patterning, since negative tone imaging enables to apply bright mask for these pattern with significantly high optical image contrast compared to positive tone imaging, and it has contributed high throughput multiple patterning. On the other hand, NTD system is found to be useful not only for leading device node, but also for cost effective process. In this report, we propose the cost effective process using NTD application. In the viewpoint of cost down at exposure tool, we have developed KrF-NTD resist which is customized for organic solvent developer. Our KrF-NTD resist has resolution comparable with ArF positive tone development (PTD) resist in narrow trench pattern, and it realizes downgrade of exposure tool. Also, we propose litho-litho-etch process with NTD resist. This method can reduce etching process and decrease total manufacturing cost more directly.
    • Correction
    • Source
    • Cite
    • Save
    • Machine Reading By IdeaReader
    1
    References
    1
    Citations
    NaN
    KQI
    []