Level-set multilayer growth model for predicting printability of buried native extreme ultraviolet mask defects

2015 
The availability of defect-free masks is considered to be a critical issue for enabling extreme ultraviolet lithography (EUVL) as the next generation technology. Since completely defect-free masks will be hard to achieve, it is essential to have a good understanding of the printability of EUV mask defects. In this work, two native mask blank defects were characterized using atomic force microscopy (AFM) and cross-section transmission electron microscopy (TEM), and the defect printability of the characterized native mask defects was evaluated using simulations implementing the finite-difference time-domain and the waveguide algorithms. The simulation results were compared with through-focus aerial images obtained at the SEMATECH Berkeley Actinic Inspection Tool (AIT), an EUV mask-imaging microscope at Lawrence Berkeley National Laboratory. The authors found agreement between the through-focus simulation results and the AIT results. To model the Mo/Si multilayer growth over the native defects, which served ...
    • Correction
    • Source
    • Cite
    • Save
    • Machine Reading By IdeaReader
    19
    References
    5
    Citations
    NaN
    KQI
    []