Design of a simulator for mesh-based reconfigurable architectures

2007 
Reconfigurable computing has become a hot topic in research due to its high-performance and flexibility. In this paper we present a simulator called JRSim for mesh-based reconfigurable architectures. The purpose of this simulator is to provide a platform to evaluate new architectures, and to assist in analysis of algorithms as well as the visualization of their behavior. JRSim is a platform-independent tool which is implemented by Java. It supports flexible bus structure, user-defined function unit and dynamic reconfiguration. Case studies show that JRSim can simulate the behavior of mesh-based reconfigurable systems correctly and efficiently. This simulator can be used to evaluate reconfigurable system design, or demonstrate the ability of reconfigurable system in an educational environment.
    • Correction
    • Source
    • Cite
    • Save
    • Machine Reading By IdeaReader
    16
    References
    3
    Citations
    NaN
    KQI
    []