Integrated fab process for metal oxide EUV photoresist

2015 
Inpria is developing directly patternable, metal oxide hardmasks as robust, high-resolution photoresists for EUV lithography. Targeted formulations have achieved 13nm half-pitch at 35 mJ/cm 2 on an ASML’s NXE:3300B scanner. Inpria’s second-generation materials have an absorbance of 20/μm, thereby enabling an equivalent photon shot noise compared to conventional resists at a dose lower by a factor of 4X. These photoresists have ~40:1 etch selectivity into a typical carbon underlayer, so ultrathin 20nm films are possible, mitigating pattern collapse. In addition to lithographic performance, we review progress in parallel advances required to enable the transition from lab to fab for such a metal oxide photoresist. This includes considerations and data related to: solvent compatibility, metals cross-contamination, coat uniformity, stability, outgassing, and rework.
    • Correction
    • Source
    • Cite
    • Save
    • Machine Reading By IdeaReader
    4
    References
    31
    Citations
    NaN
    KQI
    []