Resist outgassing contamination on EUV multilayer mirror analogues

2014 
EUV lithography is a technology enabling next generation electronic devices, but issues with photoresist sensitivity, resolution and line edge roughness as well as tool downtime and throughput remain. As part of the industry's efforts to address these problems we have worked with resist suppliers to quantify the relative contamination rate of a variety of resists on EUV multilayer mirror analogues following ASML approved protocols. Here we present results of our ongoing program to better understand the effect of process parameters such as dose and resist thickness on the contamination rate of ruthenium coated witness plates, additionally we present results from a study on the effectiveness of hydrogen cleaning.
    • Correction
    • Source
    • Cite
    • Save
    • Machine Reading By IdeaReader
    1
    References
    1
    Citations
    NaN
    KQI
    []