High performance UTBB FDSOI devices featuring 20nm gate length for 14nm node and beyond

2013 
We report, for the first time, high performance Ultra-thin Body and Box (UTBB) FDSOI devices with a gate length (L G ) of 20nm and BOX thickness (T BOX ) of 25nm, featuring dual channel FETs (Si channel NFET and compressively strained SiGe channel PFET). Competitive effective current (I eff ) reaches 630μA/μm and 670μA/μm for NFET and PFET, respectively, at off current (I off ) of 100nA/μm and V dd of 0.9V. Excellent electrostatics is obtained, demonstrating the scalability of these devices to14nm and beyond. Very low A Vt (1.3mV·μm) of channel SiGe (cSiGe) PFET devices is reported for the first time. BTI was improved >20% vs a comparable bulk device and evidence of continued scalability beyond 14nm is provided.
    • Correction
    • Source
    • Cite
    • Save
    • Machine Reading By IdeaReader
    1
    References
    50
    Citations
    NaN
    KQI
    []