A formalism of the specifications for library development

2013 
In System-on-Chip (SoC) design, more and more pre-defined libraries such as standard cell library are required in order to reduce time-to-market and to ensure the functionality of complex systems. However, an amount of information such as technology parameters is needed to develop libraries. From the perspective of library providers, a crucial issue is how to deal with the specifications containing such information. Moreover, these specifications often have informality, inconsistency, and incompleteness problems. It results in increasing library development time and error. Therefore, we propose a formalism of the specifications for library development and a formal specification language based on XML.
    • Correction
    • Source
    • Cite
    • Save
    • Machine Reading By IdeaReader
    7
    References
    1
    Citations
    NaN
    KQI
    []