PFC Emission Control Options for Plasma Processing Tools: A Current Assessment

1996 
Perfluorocompounds (PFCs) are critical processing gases for a number of plasma-based IC processing steps, especially dry etching and in situ CVD chamber cleaning. The long atmospheric lifetimes and large infrared absorption cross sections for such gases (which include CF 4 , C 2 F 6 , C 3 F 8 , NF 3 , SF 6 , and CHF 3 ) have raised concerns about the contributions of PFC emissions to possible global warming. Global regulatory policies on greenhouse gases are expected to include the PFCs, and the specific attention given to these gases in negotiations between the U.S. government and the semiconductor industry may expand internationally as well. Several options exist for PFC emission control, including process optimization, chemical substitution, capture/recovery/recycle, and destructive abatement (including combustion, reactive adsorption, and plasma decomposition). An assessment of each option will be made in terms of both technical effectiveness (i.e., PFC reduction achievable) as well as implementation issues (e.g., commercialization timing, cost of ownership). PFC users can expect to have several commercial options to choose from to meet future PFC emission control requirements.
    • Correction
    • Source
    • Cite
    • Save
    • Machine Reading By IdeaReader
    3
    References
    8
    Citations
    NaN
    KQI
    []