Stochastic resist patterning simulation using attenuated PSM for EUV lithography

2013 
In EUV Lithography, mask shadowing effect and photon shot noise effect are the main sources of patterning limit, critical dimension (CD) non-uniformity and low imaging properties. In this paper, the patterning performance of a 6% attenuated phase shift mask (PSM) is valuated, and the results show that this can be used for half-pitch (hp) down to 14 nm with 0.33NA due to the improved stochastic patterning properties. The proposed PSM consists of 26.5 nm of TaN as an absorber layer and 14 nm of Mo as a phase shifter on 2.5 nm thick Ru capped Mo/Si multilayers. This structure has ~6% reflectivity at the absorber stack and 180° phase shift. The improved stochastic resist patterning properties of PSM were compared with those of conventional binary intensity mask (BIM) with a 70 nm-thick TaN absorber for the 14 ~ 22 nm line and space (L/S) 1:1 dense pattern with 0.33NA off-axis illumination conditions with a EUV generic resist model.
    • Correction
    • Source
    • Cite
    • Save
    • Machine Reading By IdeaReader
    0
    References
    2
    Citations
    NaN
    KQI
    []