Investigation into a prototype EUV attenuated phase-shift mask

2021 
Simulations on attenuated phase-shift masks (att PSM) for EUV have shown that these novel mask absorbers can strongly boost optical contrast. The optimum EUV imaging mask does not only need to balance the diffraction order amplitudes (as in DUV imaging), it also needs to mitigate the strong mask 3D effects that are present in EUV lithography. The latter is very important and strongly relies on material properties. Here, we present an overall progress update on our att PSM work, including the first experimental lithography results on an EUV att PSM test mask and guidelines needed for optimum performance from diffraction point of view.
    • Correction
    • Source
    • Cite
    • Save
    • Machine Reading By IdeaReader
    0
    References
    1
    Citations
    NaN
    KQI
    []