Engineered High Aspect Ratio Vertical Nanotubes as a Model System for the Investigation of Catalytic Methanol Synthesis Over Cu/ZnO

2014 
Catalytically synthesized methanol from H2 and CO2 using porous Cu/ZnO aggregates is a promising, carbon neutral, and renewable alternative to replace fossil fuel based transport fuels. However, the absence of surface-engineered model systems to understand and improve the industrial Cu/ZnO catalyst poses a big technological gap in efforts to increase industrial methanol conversion efficiency. In this work, we report a novel process for the fabrication of patterned, vertically aligned high aspect ratio 1D nanostructures on Si that can be used as an engineered model catalyst. The proposed strategy employs near-field phase shift lithography (NF-PSL), deep reactive ion etching (DRIE), and atomic layer deposition (ALD) to pattern, etch, and coat Si wafers to produce high aspect ratio 1D nanostructures. Using this method, we produced a model system consisting of high aspect ratio Cu-decorated ZnO nanotubes (NTs) to investigate the morphological effects of ZnO catalyst support in comparison to the planar Cu/ZnO ...
    • Correction
    • Source
    • Cite
    • Save
    • Machine Reading By IdeaReader
    25
    References
    8
    Citations
    NaN
    KQI
    []