Plateforme de spécification pour le développement de bibliothèques de cellules et d'IPs

2014 
Une plateforme de conception est une solution totale qui permet a une equipe de conception de developper un systeme sur puce. Une telle plateforme se compose d'un ensemble de bibliotheques et de circuits reutilisables (IPs), d'outils de CAO et de kits de conception en conformite avec les flots de conception et les methodologies supportes. Les specifications de ce type de plateforme offrent un large eventail d'informations, depuis des parametres de technologie, jusqu'aux informations sur les outils. En outre, les developpeurs de bibliotheque/IP ont des difficultes a obtenir les donnees necessaires a partir ces specifications en raison de leur informalite et complexite. Dans cette these, nous proposons des methodologies, des flots et des outils pour formaliser les specifications d'une plateforme de conception et les traiter. Cette description proposee vise a etre utilisee comme une reference pour generer et valider les bibliotheques et les IPs. Nous proposons un langage de specification base sur XML (nomme LDSpecX). De plus, nous presentons une methode basee sur des references pour creer une specification fiable en LDSpecX et des mots-cles bases sur des tâches pour en extraire les donnees efficacement. A l'aide des solutions proposees, nous developpons une plateforme de specification. Nous developpons une bibliotheque de cellules standard en utilisant cette plateforme de specification. Nous montrons ainsi que notre approche permet de creer une specification complete et coherente avec une reduction considerable du temps. Cette proposition comble egalement l'ecart entre les specifications et le systeme automatique existant pour le developpement rapide de bibliotheques/IPs.
    • Correction
    • Source
    • Cite
    • Save
    • Machine Reading By IdeaReader
    0
    References
    0
    Citations
    NaN
    KQI
    []