Desirable material selection on self-aligned multi-patterning

2018 
For self-aligned multiple patterning, higher etch selectivity between mandrel and spacer is desired to lessen roughness, and thereby prevent pitch walk. We selected dual carbon layers as mandrels and silicon oxide films as spacers for a new self-aligned quadruple patterning process since they potentially provide infinite etch selectivity. We gained insolubility and etch selectivity between two carbon layers by infiltrating trimethylsilyldimethylamine into one of the carbon layers under the ambient atmosphere. Significantly, neither necking nor recess were observed when the spin-on-glass antireflective coating was removed. Thus, a SAQP scheme was developed and successfully demonstrated a sub15-nm halfpitch pattern. Additionally, this scheme improves affordability since all the processes can be performed in the ambient pressure within a coater module.
    • Correction
    • Source
    • Cite
    • Save
    • Machine Reading By IdeaReader
    0
    References
    0
    Citations
    NaN
    KQI
    []