Électronique de puissance et VHDL-AMS - Apports méthodologiques

2013 
Il existe une nouvelle approche methodologique en conception assistee des systemes complexes, utilisable notamment en electronique de puissance. La schematique classique a des limitations intrinseques, que cette avancee peut repousser. Le langage normalise VHDL-AMS propose des mecanismes avances qui restent meconnus et tres largement sous-utilises. Ils permettent la mise en œuvre des meta-schemas et de leur configuration. Cette approche, une fois maitrisee, ouvre la porte a des ameliorations methodologiques dont toutes les consequences benefiques restent a explorer.
    • Correction
    • Source
    • Cite
    • Save
    • Machine Reading By IdeaReader
    0
    References
    0
    Citations
    NaN
    KQI
    []