Proposing a mechanism of action for ataluren

2016 
Protein synthesis follows the advice given in Alice in Wonderland: “‘Begin at the beginning,’ the King said gravely, ‘and go on till you come to the end: then stop.’” (1). For most protein synthesis, “the beginning” is the first methionine codon (AUG) encountered by the ribosome downstream of the cap, which is situated at the 5′ end of the mRNA (Fig. 1). “The end” is a signal that consists of one of three termination or stop codons: UAG, UAA, or UGA. The path between the initiating AUG and the proper stop codon delineates the open reading frame of the encoded protein.
    • Correction
    • Source
    • Cite
    • Save
    • Machine Reading By IdeaReader
    15
    References
    20
    Citations
    NaN
    KQI
    []