Multiple electron beam maskless lithography for high-volume manufacturing

2009 
Based on the maturing MEMS capabilities and electronics technologies, the cost effective high-throughput MEBML2, at ≫100 WPH and footprint similar to an optical scanner, can be realized. Resolution, proximity correction, wafer heating and data rate shall not be problems for 5 keV at such high throughput. Another big advantage of focusing on MEBML2 as the lithography solution for 32-nm HP node and beyond is that it only needs investments on developing this tool. Unlike EUV and double patterning, which need enormous investments on the mask infrastructure and process development, besides just the cost of the lithography tool. However, the success of the MEBML2 technology still requires enormous industrial support and investments, which may happen only when it is commonly viewed as one of the mainstream technologies for high-volume manufacturing. To catch up manufacturing of the 32-nm HP node, the clustered platform has to be ready by 2012, which needs big platform suppliers' involvement very soon.
    • Correction
    • Source
    • Cite
    • Save
    • Machine Reading By IdeaReader
    0
    References
    2
    Citations
    NaN
    KQI
    []