Tratamento de requisitos não-funcionais em sistemas de tempo-real embarcados implementados em VHDL/FPGA

2014 
Este trabalho apresenta uma abordagem de desenvolvimento de sistemas embarcados implementados em FPGA, que agrega tecnicas de MDE e AOSD com o objetivo de sistematizar e automatizar o processo de desenvolvimento. Propoe-se o tratamento e gerenciamento dos requisitos nao funcionais para sistemas embarcados desenvolvidos na plataforma FPGA, com o uso do paradigma orientado a aspectos e de metricas que possibilitem o controle no cumprimento das restricoes do projeto. Para tanto, a geracao do codigo VHDL a partir do modelo especificado na UML foi implementada neste trabalho. Para essa transformacao, um conjunto de regras de mapeamento dos elementos da UML para VHDL foi criado. A partir da analise da literatura foi detalhado um conjunto de requisitos nao funcionais para projetos implementados em FPGA, que constituem o framework de aspectos para essa plataforma. Novos aspectos foram incluidos no DERAF e implementadas regras de mapeamento para esses. Foram desenvolvidos tres estudos de caso utilizando a abordagem e o conjunto de regras de mapeamento criado, nos quais foram implementados tres aspectos que tratam requisitos nao funcionais dessas aplicacoes. Com as regras de mapeamento implementadas, foi possivel a geracao completa do codigo VHDL, funcional e sintetizavel. O uso das metricas identificadas permitiu uma avaliacao mais precisa da eficacia da utilizacao da abordagem proposta. Os resultados encontrados, mostram que a utilizacao da orientacao a aspectos para o tratamento de requisitos nao funcionais na descricao de hardware em VHDL, melhora o desempenho do sistema, tem alto impacto sobre o sistema final e contribui para o atendimento de requisitos de projeto como time-to-market, reusabilidade e manutenabilidade
    • Correction
    • Source
    • Cite
    • Save
    • Machine Reading By IdeaReader
    0
    References
    0
    Citations
    NaN
    KQI
    []