Illumination optics for source-mask optimization

2010 
Source Mask Optimization (SMO) 1 is proposed and being developed for the 32 nm generation and beyond in order to extend dose / focus margin by simultaneous optimization of the illuminator source shape and a customized mask. For several years now, mask optimization techniques have been improving. At the same time, the flexibility of the illuminator must also be improved, leading to more complex illumination shapes. As a result, pupil fill is moving from a parametric model defined by sigma value, ratio, clocking angle, subtended angle and/or, pole balance, to a freeform condition with gray scale defined by light intensity in the illuminator. We have evaluated an intelligent illuminator in order to meet requirements of SMO. Then we have confirmed controllability of the pupilgram.
    • Correction
    • Source
    • Cite
    • Save
    • Machine Reading By IdeaReader
    0
    References
    7
    Citations
    NaN
    KQI
    []