Stochastic effects on EUV CAR systems: investigation of materials impact

2020 
Extreme ultraviolet (EUV) lithography is in the phase of first generation of high volume manufacturing. Next generation EUV lithography requires further improvement of resist performance such as resolution, sensitivity and pattern roughness. Therefore, it is important to understand deeply both photon and materials stochastic effects for 5 nm node and beyond. Stochastic effects in EUV resist is much sever than that in ArF resist due to less photon number. In this paper, we investigate EUV resist stochastic effect to find suitable material approaches for further improvement. Many research groups have reported that additives such as PAG and Quencher distribution and aggregation in resist film cause resist stochastic. We have also investigated additive distribution and aggregation behavior in EUV-CAR system using advanced resist analysis method. Film analysis result indicates that both additives distribution and aggregation are not main factors causing resist stochastic degradation. To improve resist stochastic, we have been trying to control chemical reaction during and after exposure. As one of our strategy, new type of PAG with high acid yield to utilize EUV photons effectively has been developed. In addition to PAG, we also developed uniform polymer and high contrast polymer for better resist dissolution during development process. Resist systems with new high acid yield PAG and new polymers show excellent resist and defect performance through resist stochastic improvement.
    • Correction
    • Source
    • Cite
    • Save
    • Machine Reading By IdeaReader
    0
    References
    1
    Citations
    NaN
    KQI
    []