SciFab –a wafer‐level heterointegrated InP DHBT/SiGe BiCMOS foundry process for mm‐wave applications

2016 
We present a wafer-level heterointegrated indium phosphide double heterobipolar transistor on silicon germanium bipolar-complementary metal oxide semiconductor (InP DHBT on SiGe BiCMOS) process which relies on adhesive wafer bonding. Subcircuits are co-designed in both technologies, SiGe BiCMOS and InP DHBT, with more than 300 GHz bandwidth microstrip interconnects. The 250 nm SiGe HBTs offer cutoff frequencies around 200 GHz, the 800 nm InP DHBTs exceed 350 GHz. Heterointegrated signal sources are demonstrated including a 328 GHz quadrupling source with dBm RF output power. A common design kit for full InP DHBT/SiGe BiCMOS co-design was set up. The technology is being opened to third-party customers through IHP's multi-purpose wafer foundry interface. Microphotograph of InP DHBT / SiGe BiCMOS wafer
    • Correction
    • Source
    • Cite
    • Save
    • Machine Reading By IdeaReader
    12
    References
    37
    Citations
    NaN
    KQI
    []