Task Allocation and Scheduling for Voltage-Frequency Islands Applied NoC-based MPSoC Considering Network Congestion

2012 
Multiprocessor System-on-Chip has been emerged in multimedia and signal processing domain, and power consumption is rapidly increasing year by year. Voltage and frequency islands (VFIs), which consist of tiles assigned same voltage, is the new design paradigm for low power NoC. State of the art task and voltage scheduling techniques for VFI applied NoC has been introduced, but their techniques mainly focus on minimizing energy consumption of tasks and communications. This paper proposes task scheduling for VFI applied NoC considering the location of VFI interfaces and packet routing. In simulated annealing based proposed algorithm, not only energy minimization but also network traffic and congestion are considered to find optimal schedule of the application under given deadline. Experimental result shows up to 12.4% energy reduction compared to the conventional method.
    • Correction
    • Source
    • Cite
    • Save
    • Machine Reading By IdeaReader
    8
    References
    8
    Citations
    NaN
    KQI
    []