Contribution of mask roughness in stochasticity of high-NA EUV imaging

2021 
This paper extends the 2019 and 2020 symposium contributions clearly showing that (local) mask defects and non-local mask defects (NLMDs) act as triggers for increased stochastic failure probability on the EUV printed wafer. The present work focuses on anamorphic imaging at 0.55 NA, including horizontal and vertical pattern orientations, and comprises defocus conditions and line breaking as a second failure mechanism. Two roughness type NLMDs are studied: multilayer (ML) ripple relates to a non-fully planar coating of the ML mask stack. Mask absorber line-edge roughness is addressed as a second roughness type NLMD. The longer-term intent is to inspire defining limits to their impact, from the perspective that an increased mask contribution to stochastics of high-NA EUV lithography must be avoided.
    • Correction
    • Source
    • Cite
    • Save
    • Machine Reading By IdeaReader
    0
    References
    0
    Citations
    NaN
    KQI
    []