An analysis of EUV resist outgassing measurements

2007 
Optics contamination is a concern for extreme ultraviolet (EUV) lithography. To protect EUV optics, all materials used in EUV vacuum exposure chambers must be screened prior to use. Photoresists are a concern since a freshly coated wafer will be introduced into the chamber approximately every minute in a high volume production tool. SEMATECH and the International EUV Initiative (IEUVI) have begun a resist outgassing benchmarking experiment to compare different outgassing methodologies. Samples of the same batch of resist were sent to eight researchers. The results show a large variation of four orders of magnitude in the amount of measured outgassing products. The next steps are to correlate outgassing measurements to witness plate experiments.
    • Correction
    • Source
    • Cite
    • Save
    • Machine Reading By IdeaReader
    9
    References
    21
    Citations
    NaN
    KQI
    []