Process Optimization of Amorphous Carbon Hard Mask in Advanced 3D-NAND Flash Memory Applications

2021 
Amorphous carbon hard mask (ACHM) films are widely used as etching hard masks in 3D-NAND flash memory, which has put forward higher requirements in the film deposition rate, film transparency, uniformity, and selective etching. In this work, the ACHM film processing is engineered and optimized by comparatively studying acetylene (C2H2) and propylene (C3H6) as carbon sources at the different temperatures of 300 °C, 350 °C and 400 °C. By increasing the deposition temperature, the deposition rate, non-uniformity, and dry etch rate of ACHM are improved at the penalty of a slightly increased extinction coefficient of the film, due to lower incorporation of hydrocarbon reactants absorbed into film at higher temperatures. However, the Fourier transformation infrared (FTIR) spectrum intensity is decreased with the increase of the deposition temperature. The lower dry etch rate of ACHM is achieved by using C3H6 as a carbon source deposited at 400 °C. The best dry etch selective ratio values are also achieved with 10.9 and 9.5 for SiO2 and SiN, respectively. These experimental results can be very promising in the advancement of etching process in 3D-NAND applications.
    • Correction
    • Source
    • Cite
    • Save
    • Machine Reading By IdeaReader
    27
    References
    0
    Citations
    NaN
    KQI
    []