Next-generation EUV lithography productivity (Conference Presentation)

2017 
Beyond EUV insertion to high-volume manufacturing, the extendibility of the technology is dependent on the cost scaling advantages of high-NA or multi-patterning EUV lithography. Several concerns have been raised regarding the cost and lithographic feasibility of high-NA, including resist performance, productivity, depth of focus, mask infrastructure and field utilization/stitching capability. The intrinsic requirement of half-field exposures for high-NA lithography drives a necessary investigation on reduced field utilization verses stitching performance of the separate half fields. Furthermore, the additional mask for full fields dies will drive additional cost, complexity and overall overhead as compared to EUV NA 0.33 double patterning or other self-aligned technique. Here, the implication to EUV throughput capacity is analyzed within the context of the 7/5/3 nm technology nodes, specifically considering field utilization and scanner productivity as a function of source power and resist dose.
    • Correction
    • Source
    • Cite
    • Save
    • Machine Reading By IdeaReader
    0
    References
    0
    Citations
    NaN
    KQI
    []