Method of designing a system-on-chip including a tapless standard cell, designing system and system-on-chip

2012 
PURPOSE: A design method of a system-on-chip including a tapless standard cell, a design system thereof, and a system-on-chip thereof are provided to increase the operation speed of a system-on-chip. CONSTITUTION: To reflect a first fast corner of the movement speed distribution to the reverse body biasing and change the first fast corner to a second fast corner, a second timing parameter corresponding to the second fast corner is set (S130). The second fast corner has a slower movement speed than the first fast corner. Based on a first timing parameter corresponding to a second slow corner and the second timing parameter corresponding to the second fast corner, a system-on-chip including a tapless standard cell is produced (S150). [Reference numerals] (AA) Start; (BB) Finish; (S110) Set a first timing parameter for increasing a slow corner by reflecting forward body biasing; (S130) Set a second timing parameter for decreasing a fast corner by reflecting reverse body biasing; (S150) Produce a system-on-chip based on the first and second timing parameters
    • Correction
    • Source
    • Cite
    • Save
    • Machine Reading By IdeaReader
    0
    References
    0
    Citations
    NaN
    KQI
    []