A new non-CAR hemicellulose resist is proposed for use in high-NA EUV lithography. This resist has high sensitivity (EUV dose 34.4 mJ/cm2) and high resolution (half-pitch of more than 16 nm) compared to conventional chain scission resists. Additionally, the process flow is very simple (no need for PEB) and the resist film is stable throughout the process. It was confirmed that the RIE selectivity ratio (Si/resist) of the non-CAR hemicellulose resist was 3.7, and am L/S pattern was obtained with a Si depth of 120.4 nm and a half-pitch of 18 nm. Furthermore, a new resist process, PreMi (pre-exposure metal insertion), was proposed. The PreMi process is expected to improve the fabrication properties, increase sensitivity and contrast, and reduce defects. Te and Sn were employed as metal types in this study, and EUV L/S patterns of PreMi-Te and PreMi-Sn were obtained. It was confirmed the PreMi process improved the fabrication properties of the no-PreMi process by a factor of 2. The non-CAR hemicellulose resist and PreMi process have great potential for use in high-NA EUV lithography.
The application of the ethyltrimethylammonium hydroxide (ETMAH) as alternative developer solution for EUV lithography was investigated (compared to the tetramethylammonium hydroxide or TMAH standard developer). Early reports have shown that at a specific or optimal developer concentration of around 0.20N the ETMAH developer allows mitigation of resist-based stochastic defects (increase in stochastic defect margin) while maintaining lithographic performance. Results obtained here suggest that with the use of a non-ionic surfactant additive for the ETMAH developer solution LWR reduction was achieved (compared to TMAH). This improvement in LWR was achieved while preserving resolution, sensitivity, and stochastic defect margin.
Investigations on the application of aqueous ethyltrimethylammonium hydroxide (ETMAH) as an alternative developer solution for extreme ultraviolet lithography (EUVL) were carried out. Utilizing a widely-used chemically amplified resist, it was found that the ETMAH developer solution has no negative impact on lithographic performance; resolution, line width / line edge roughness, and sensitivity (compared to the de facto standard aqueous tetramethylammonium hydroxide or TMAH). Stochastic defectivity analysis was performed by considering the effect of line-break (in the overdose region) and line-bridge defects (in the underdose region), on exposure latitude (ELX) and critical dimension or CD margin (CDMX). Results show that the ETMAH improves ELX and CDMX by around 10% and 5%, respectively at a lower developer solution concentration of 0.20N. These results confirmed the capability of the ETMAH in reducing resist-based stochastic defects, demonstrating its potential as an alternative developer solution for EUVL.
The application of alternative developers for both extreme ultraviolet (EUV) and 193-nm immersion ("ArFi") lithography is investigated by focusing on their effects on the "Defect-Not-Found" (DNF) margins. In case of EUV lithography, defects primarily comprise line bridging at the underdose region and line breaks at the overdose region. The application of a 0.26-N tetrabutylammonium hydroxide (TBAH) developer solution when compared to that of a 0.26-N tetramethylammonium hydroxide (TMAH) developer solution resulted in improved resist sensitivity while maintaining the same DNF margin. In case of ArFi lithography, defects primarily comprise line bridging at the underdose region and pattern collapse at the overdose region. The same improvement that has been mentioned above can be observed with respect to the resist sensitivity using the TBAH developer solution. However, the TBAH developer solution significantly minimizes the pattern collapse at the overdose region, further extending the minimum line pattern size. This translated to significant improvements in both the exposure latitude (EL) and overdose margin (OM) and resulted in a significant increase in the DNF margin. Furthermore, the application of a non-ionic surfactant type additive on the TMAH developer solution demonstrates resist sensitivity improvement and pattern collapse mitigation. Increased EL and OM values were observed, which also resulted in increased DNF margin. Results indicate the advantages of utilizing alternative developer solutions in improving the DNF margins.
Abstract The negative pressure atmosphere during the development process was investigated to mitigate the photoresist pattern collapse which is one of the traditional issues in the lithography processes for every generation of photoresists; i-line, KrF, ArF, ArF immersion, and recently extreme ultraviolet. The pattern collapse is caused by the capillary force between resist patterns during rinsing and drying in the development process. The main factors of capillary force are the surface tension and the contact angle of rinsing liquid and also the pattern structure (line width, space width, and height). On the other hand, the capillary force is influenced by the atmosphere pressure. In this paper, we controlled the chamber pressure during the rinsing and drying processes for the pattern collapse mitigation. The minimum critical dimension without pattern collapse under the negative pressure was found to be smaller (approximately 10% improvement) than that obtained with atmosphere pressure.
Abstract Investigations were made on the application of aqueous ethyltrimethylammonium hydroxide (ETMAH) as an alternative developer solution (compared to the de facto standard aqueous tetramethylammonium hydroxide or TMAH) for mainstream lithographic technologies; extreme ultraviolet (EUV), ArF immersion (ArFi), KrF, and i-line. Results show that for EUV, ETMAH at a specific developer concentration allows mitigation of resist-based stochastic defects while maintaining lithographic performance. It was also found that the ETMAH developer solution is compatible with the ArFi, KrF, and i-line lithography (ultimate resolution and line width roughness was maintained). For EUV, ArFi, and KrF lithography which utilizes chemical amplification resist (CAR) material platforms, sensitivity remained constant. For i-line lithography, sensitivity was observed to decrease by roughly 25%–30% when ETMAH was utilized, attributed to the different dissolution mechanism of the novolac-based resist compared to CAR. Nevertheless, these results show the viability of ETMAH as an alternative developer solution for mainstream semiconductor lithography.
Directed Self Assembly (DSA) is a promising technology for complementary patterning in future nodes. As DSA patterning has continued to evolve there has been many efforts to improve defect performance using hardware, processes, and materials. Traditionally, in PS-b-PMMA block-copolymers (BCP) based patterning schemes, phase separation is achieved using a thermal annealing with controlled temperature and time. In previous work we have expanded our understanding of BCP annealing by demonstrating the ability to improve a process window and fingerprint formation of a lamellar system (31nm pitch BCP) by atmospheric condition control during the thermal anneal, as shown in Figure 1. By reducing the oxygen concentration inside the annealing chamber, we have demonstrated improved densities for fingerprint defects commonly associated with phase separation in BCP systems. Furthermore, by achieving a strong regulation of the concentration at different levels, we have achieved a better understanding of what might be required to fully eliminate these defects for subsequent studies and learning toward device manufacture. By reducing the concentration of oxygen during the thermal anneal process, we have been able to employ noticeably higher annealing temperatures without damaging the BCP films. Ultimately, our goal is to provide an annealing solution that is amenable to high volume manufacturing. In this study, controlled oxygen annealing of a 31nm pitch BCP is evaluated against a known thermal annealing baseline. Oxygen concentration, temperature and time are finely tuned in the study. Finally, polymers with different compositions (ie morphologies: lamellar, PS cylinders, PMMA cylinders) are evaluated, and the correlation between thermal budget and polymer stability is reported.
Regarding 3D semiconductor devices, one of difficulties is hardmask process for deep memory holes because of expensive process cost. To overcome this issue, cost effective hardmask process concept using hemicellulose SOC is newly proposed by spin-coat process and improved hardmask technology of hemicellulose SOC (made from bio-based green chemistry material). In this study, deep holes of micron scale were made after under layer RIE using hemicellulose SOC and reactive hemicellulose hardening process (R2H). RIE selectivity was extremely improved up to 65 by optimization of R2H strong process. The results show the feasibility of cost effective deep memory hole process for 3D devices. Additionally, EUV patterns (Hole CD of 24nm and L/S of hp18nm) in under layer were obtained by Hemicellulose SOC and R2H. Its aspect ratio was 15. The fine patterning results show a big potential for next generation memory and logic device processes.
This paper described a higher sensitivity non-CAR type hemicellulose resist and the effect of hemicellulose content and polymer structure for EUV lithography. Hemicellulose content and the resist structure are the keys of EUV sensitivity. The line width 14 nm L/S pattern by EUV lithography was successfully obtained. Other 2types of resist were developed for higher sensitivity of EUV lithography. The results will be reported and confirmed the effect of hemicellulose content and resist structure. Non-CAR type hemicellulose resist is one of the candidates for next generation EUV lithography resist.
As the next generation of Extreame Ultraviolet (EUV) lithography, high-NA EUV is proposed. EUV resist is one of the challenges to achieve it. Non-CAR type hemicellulose resist was proposed last year and improved for high-NA EUV resist. The target is stable and high resolution chain scission resist. It was confirmed that hemicellulose works as a radical source by electron spin resonanse(ESR) analysis and hemicellulose improves EUV sensitivity from 50 to 28 mJ/cm2. The primary advantage of the hemicellulose resist is its excellent wafer transportability. The properties of resist such as low metal contamination, resist film uniformity, and edge cut were confirmed. The optimization of the lithography process improves the resolution of hemicellulose resist and pitch 26 nm L/S pattern was obtained with OPALTM-RH02. Furthermore, hole pattern of pitch 40 nm was also obtained.